Intel signs up Qualcomm, AWS for new foundry services

News IT Global 27 JUL 2021
Intel signs up Qualcomm, AWS for new foundry services

Intel has outlined a new roadmap for developing its production architecture and packaging systems. The upgrades are part of its plan to expand its foundry business. The company also announced Qualcomm and AWS as new customers for the foundry services.

Intel introduced a new naming structure for its process nodes, to make the levels of advancement more clear for wholesale customers and its own product roadmap. It starts with Intel 7, brining 10-15 percent performance improvements over the current Intel 10nm production node. Intel 7 will be featured in products such as Alder Lake for client products in 2021 and Sapphire Rapids for the data centre, which is expected to be in production in the first quarter of 2022.

Intel 4 fully embraces EUV lithography to print incredibly small features using ultra-short wavelength light. With an approximately 20 percent performance-per-watt increase, Intel 4 will be ready for production in the second half of 2022 for products shipping in 2023, including Meteor Lake for client computers and Granite Rapids for the data centre. 

Intel 3 leverages further FinFET optimizations and increased EUV to deliver an approximately 18 percent performance increase over Intel 4, along with additional area improvements. Intel 3 will be ready to begin manufacturing products in the second half of 2023.

New transistor architecture

Intel 20A is expected to bring two breakthrough technologies, RibbonFET and PowerVia. RibbonFET, Intel’s implementation of a gate-all-around transistor, will be the company’s first new transistor architecture since it pioneered FinFET in 2011. The technology delivers faster transistor switching speeds while achieving the same drive current as multiple fins in a smaller footprint. PowerVia is Intel’s implementation of backside power delivery, optimizing signal transmission by eliminating the need for power routing on the front side of the wafer. Intel 20A is expected to ramp in 2024, with Qualcomm among the first customers.

Beyond Intel 20A, Intel 18A is already in development for early 2025 with refinements to RibbonFET that will deliver another major jump in transistor performance. Intel is also working to deploy next-generation High NA EUV, and expects to receive the first production tool in the industry from ASML.

3D Packaging

Intel also announced that Amazon Web Services will be the first customer to use Intel Foundry Solutions packaging products. According to the chipmaker, "packaging is becoming even more important to realizing the benefits of Moore’s Law" and it plans several new 3D packaging options to optimise its production. 

Intel's Foveros leverages wafer-level packaging capabilities to provide a 3D stacking solution for chips. Meteor Lake will be the second-generation implementation of Foveros in a client product and features a bump pitch of 36 microns, tiles spanning multiple technology nodes and a thermal design power range from 5 to 125W. 

Next comes Foveros Omni with additional flexibility for die-to-die interconnect and modular designs. Foveros Omni allows die disaggregation, mixing multiple top die tiles with multiple base tiles across mixed fab nodes and is expected to be ready for volume manufacturing in 2023.

Finally Foveros Direct moves to direct copper-to-copper bonding for low-resistance interconnects and blurs the boundary between where the wafer ends and where the package begins. Foveros Direct enables sub-10-micron bump pitches, providing an order of magnitude increase in the interconnect density for 3D stacking, opening new concepts for functional die partitioning that were previously unachievable, Intel said. Foveros Direct is complementary to Foveros Omni and is also expected to be ready in 2023.
 

Related Articles